Navitas GaN and SiC Technologies Announce Thier AI Data Center Technology Roadmap For To Up To 3X Power Increase To Support Similar Exponential Growth In Ai Power Demands Expected In Just The Next 12-18 Months
Portfolio Pulse from Benzinga Newsdesk
Navitas Semiconductor has announced a technology roadmap to support a 3x increase in AI data center power demands within the next 12-18 months, leveraging its gallium nitride (GaN) and silicon carbide (SiC) technologies. The company has introduced a 3.2kW and a 4.5kW data center power platform, with plans for an 8-10kW platform by the end of 2024. These advancements are in response to the growing power requirements of high-performance AI processors, with over 20 data center customer projects in development expected to drive significant GaN or SiC revenues starting this year.

March 11, 2024 | 12:43 pm
News sentiment analysis
Sort by:
Descending
POSITIVE IMPACT
Navitas Semiconductor's announcement of its AI data center technology roadmap and the introduction of new power platforms are expected to significantly drive the company's revenues and market position in the semiconductor industry.
Navitas Semiconductor's strategic focus on addressing the exponential growth in AI power demands with its advanced GaN and SiC technologies positions the company for significant revenue growth. The introduction of high-capacity power platforms and the development of over 20 data center customer projects indicate strong market demand and potential for increased sales. This strategic move is likely to enhance Navitas's competitive edge in the semiconductor industry and attract investor interest.
CONFIDENCE 90
IMPORTANCE 95
RELEVANCE 100